Программируемые логические матрицы — КиберПедия 

Особенности сооружения опор в сложных условиях: Сооружение ВЛ в районах с суровыми климатическими и тяжелыми геологическими условиями...

Опора деревянной одностоечной и способы укрепление угловых опор: Опоры ВЛ - конструкции, предназначен­ные для поддерживания проводов на необходимой высоте над землей, водой...

Программируемые логические матрицы

2017-09-28 428
Программируемые логические матрицы 0.00 из 5.00 0 оценок
Заказать работу

ПЛМ относятся к регулярным структурам, реализующим комбинационную логику в виде дизъюнктивных нормальных форм и последовательностную логику в виде конечных автоматов с внешними обратными связями. Реализация ПЛМ происходит путём нанесения металлизации по специально выделенным каналам или удаления ранее созданных лишних соединений путём их выжигания.

1.1.3. Аналоговые матрицы (АМ)

Аналоговые матрицы состоят из ячеек-подсхем. Исторически за критерий классификации подсхем была принята функциональность, то есть факт выполнения подсхемой какой-то функции. Однако по мере развития электроники выделенных и обособленных функций оказалось чрезвычайно много. Исчезла всякая возможность их минимизации и унификации, что необходимо для синтеза сложных систем. Именно поэтому тормозилось и продолжает тормозиться развитие матричных аналоговых БИС.

Заказные схемы позволяют получить лучшие технические характеристики по сравнению с аналогичными полузаказными. Однако, стоимость и сроки разработки при этом больше. В то же время, себестоимость изготовления отдельной схемы, как правило, ниже. Применять методологию заказного проектирования целесообразно в случае, когда предполагается изготовление большой партии ИС.

Современный уровень полупроводниковой технологии позволяет реализовывать на кристалле одновременно процессоры, память, цифровую логику, аналоговые схемы, интерфейсы и т.д. Такая специализированная сверхбольшая интегральная схема называется «система на кристалле». Разработка СнК требует качественно новой методологии проектирования. Традиционные САПР СБИС дополняются верхним системным уровнем проектирования, единым как для разработки СБИС СнК, так и аппаратуры на их основе. В основе методологии лежит многократное повторное использование на всех этапах проектирования СнК ранее созданной интеллектуальной собственности (IntellectualpropertyIP) в виде разработанных и сертифицированных IP-блоков процессоров, памяти, цифровых и аналоговых блоков, датчиков и т.д.

Примечание: Узаконенный перевод: IntellectualpropertyIPСложнофункциональныйСФ.

1.2. Классификация параметров интегральных схем

Параметры ИС можно классифицировать следующим образом:

· Общие;

· Внешние;

· Внутренние;

· Выходные.

Общие параметры являются предметом интереса разработчиков аппаратуры на основе ИС. К ним относятся, например, такие параметры, как стоимость, габариты, вес.

Внешние параметры – это параметры внешней, по отношению к объекту, среды.

Внутренние параметры – это параметры компонентов ИС.

Выходные параметры делятся на функциональные и измеряемые. В процессе тестирования измеряются токи и напряжения на внешних выводах схемы. Функциональные параметры получают на основе проведённых измерений.

Примером функциональных параметров может служить мощность, потребляемая схемой от источников питания в статическом режиме, АЧХ для аналоговых ИС, коэффициент разветвления по выходу для цифровых.

Примечание:Коэффициент разветвления или нагрузочная способность - максимальное число входов, которые можно подключить к отдельному выходу микросхемы. При этом сумма входных токов должна быть меньше выходного тока отдельного выхода микросхемы. Если она имеет несколько выходов, то суммарный ток всех выходов не должен превышать паспортного значения для данной микросхемы, даже если отдельные выходы микросхемы будут недогружены.

Лекция 2

Принципы проектирования

Она всегда давала хорошие советы,хотя следовала им нечасто.

Льюис Кэрролл, «Алиса в Стране чудес»

Будем рассматривать проектирование как процесс преобразования информации, полученной в виде технического задании на разрабатываемое изделие, в информацию, необходимую для его изготовления и контроля на технологическом и контрольно-измерительном оборудовании.

Основные принципы проектирования:

· Декомпозиция (диакоптика) – разбиение сложной задачи на более простые. По Крону: Диакоптика или метод расчленений, объединяет три источника информации, а именно: графы + уравнения, графы + матрицы, граф + коммутативная диаграмма, связанные с данной физической или экономической системой. Граф системы и коммутативная диаграмма используется также для более эффективного применения вычислительных машин;

Можно рассматривать диакоптику как метод декомпозиции с приёмом «пересечения слоя» (границы между подсистемами). Этот приём был переоткрыт исследователями параллельных вычислений и назван методом декомпозиции доменов (Domain Decomposition Methods, DDM).

Крон, несомненно, искал онтологии в технике. Существует многоуровневая иерархическая версия метода, в которой под-подсистемы бесконечно рекурсивно разрывают подсистемы.

Когда появились транспьютеры для многоядерных и многопроцессорных систем, было предложено использовать диакоптику для организации транспьютерных массивов.

Разбиение может быть проведено по количественным признакам, либо по типам параметров, положенных в основу декомпозиции. Декомпозиция приводит к иерархическому принципу проектирования.

· Иерархический принцип – выделение уровней (этапов) проектирования;

При проектировании ИС она может быть представлена принципиальной электрической схемой (этап схемотехнического проектирования), схемами и параметрами компонентов, входящих в электрическую схему (компонентное проектирование) и т.д.

· Итерационный принцип – последовательное приближение к выполнению заданных требований. Итерации могут выполняться как внутри одного этапа проектирования, так и между группами этапов;

· Унификация – уменьшение номенклатуры компонентов и ИС, из которых собирается изделие;

· Контролируемость – проверка правильности выполнения проектных работ. Выполняется для каждого этапа проектирования. Контроль правильности на различных этапах проектирования (верификация) выполняется непосредственно в процессе проектирования и после него.

2.1. Классификация методов проектирования

Стремление оптимизировать процесс проектирования ИС с учётом степени интеграции, типов активных компонентов и т. д. требует применения «оптимальных» методов проектирования при прохождении общего маршрута.

По способам организации процесса проектирования методы делятся на:

· Экспериментальныемакетирование, физическое моделирование;

Макетирование заключается в разработке и исследовании тестовой ИС, в которую могут входить активные и пассивные компоненты, базовые аналоговые и логические элементы, специальные тестовые структуры для идентификации и контроля параметров компонентов, паразитных элементов, фотошаблонов. Характерной особенностью топологии тестовой схемы является наличие контактных площадок, позволяющих использовать измерительную аппаратуру. Примером тестовой структуры является кольцевой генератор. Измерение рабочей частоты генератора позволяет, в частности, определить (идентифицировать) паразитные ёмкости транзисторов, входящих в генератор.

Результаты макетирования используются для создания библиотек компонентов (ячеек) ИС, а также при разработке библиотек математических моделей компонентов и элементов, которые могут быть использованы в процессе проектирования. Основные недостатки макетирования - большое время и высокая стоимость разработки и изготовления макета.

Достоинство: относительная достоверность результатов.

Физическое моделирование заключается в замене объектов одной физической природы объектами другой физической природы, имеющими одинаковые математические модели.

Наиболее известным примером является применение электролитических ванн при моделировании полей потенциалов в полупроводниковых структурах.

· Теоретические – математическое моделирование;

Математическое моделирование – неизбежный этап(ы) процесса проектирования ИС. Математическое моделирование позволяет достаточно точно моделировать процессы, происходящие в реальных устройствах

По степени автоматизации проектных процедур методы проектирования делятся на:

· Ручные;

· Автоматизированные;

· Автоматические.

Очевидно, что такое деление условно. Очевидно, что с ростом степени интеграции ИС и усложнением задач, решаемых схемами, возрастает потребность в автоматических системах проектирования ИС. Для этого необходимо решить ряд сложных задач, таких как задача структурного синтеза для всех этапов проектирования, восстановление принципиальной электрической схемы из её топологии с учётом паразитных элементов (экстракция), межэтапная верификация и взаимосвязанный контроль на всех этапах проектирования, организации базы знаний и т.д.

2.2. Особенности проектирования ИС

· Учёт большого числа паразитных компонентов

Как правило, электрическая схема, изготовленная в соответствии с разработанной топологией, содержит большое число паразитных компонентов. Это паразитные ёмкости, паразитные n-p-n-p структуры, и т.д. Поэтому возникает задача получения принципиальной электрической схемы, соответствующей реальной топологии (экстракция) и повторное схемотехническое моделирование.

· Трудности изготовления больших ёмкостей и индуктивностей

приводят к схемотехническим решениям, отличным от решений для схем на дискретных компонентах, а именно: отказ от их применения и преимущественное использование активных компонентов

· Проблема тестируемости

обусловлена тем, что практически невозможно контролировать характеристики ИС посредством измерения параметров элементов схемы. Решение проблемы связано с разработкой схемы, предусматривающей возможность её тестирования.

Методы тестирования предусматривают наличие «наблюдаемых узлов» и их «управляемость». «Наблюдаемый узел» – это узел, связанный с выходом интегральной схемы, так что пользователь может легко определить состояние данного узла. «Управляемость» – это обеспечение возможности задания конкретного состояния для указанного узла.

Полное тестирование логической схемы обеспечивается возможностью установления для любого узла любого логического состояния и проверки того, что данный узел «принял» нужное состояние.

Тестирование можно обеспечить, используя структурно-логические методы, предусматривающие разработку логической структуры, при которой можно задавать и считывать состояния всех элементов схемы. Это позволяет свести задачу тестирования последовательностных схем к тестированию комбинационных схем.

Вопросы генерации тестов для последних достаточно хорошо изучены.Помимо структурно-логических применяются конструктивные методы.

Конструктивные методы предусматривают применение специальных конструктивных решений для ИС.

Заметим, что деление методов на структурно-логические и конструктивные весьма условно.

Очевидно, что разработка интегральных схем любой степени сложности невозможна без применения САПР

Лекция 3

Этапы проектирования ИС

Вот видишь, всё куда-тодвижется и во что-то превращается,чем же ты недовольна?

Льюис Кэрролл, «Алиса в Стране чудес»

 

Проектирование современных сложных технических систем (СТС), представляющих, в том числе и системы на кристалле, включает следующие уровни (этапы):

· Системный (процессор – память –коммутатор, ППК);

· Микросхемный;

· Регистровый.

Примечание: Уровень регистровых передач (англ.Register transfer level, RTL) – в разработке интегральных микросхем–это описание работы синхроннойцифровой схемы. На данном уровне поведение схемы определяется в терминах потоков сигналов (или пересылок данных) между аппаратными регистрами и логических операций над данными сигналами. Абстракция уровня регистровых передач используется в языках описания аппаратуры (HDL), например в Verilog HDL и VHDL для создания высокоуровневых описаний схем, из которых могут быть получены низкоуровневые описания и, в конце концов, прошивка для ПЛИС или маски для изготовления СБИС.

· Логический;

· Схемотехнический;

· Топологический;

· Компонентный.

Этапы проектирования целесообразно разделить на следующие группы уровней:

· Компонентный, топологический, схемотехнический – сфера интересов только разработчика ИС;

· Микросхемный, системный – сфера интересов только разработчика аппаратуры;

· Логический, регистровый, микросхемный – сфера интересов обеих сторон.

Проектирование может вестись снизу-вверх, либо наоборот.

Группа уровней (этапов) проектирования, с которыми работает конкретный проектировщик, называется Окном проекта.

Рассмотрим некоторые аспекты, связанные с этапами проектирования.

3.1. Разработка спецификации

Включает в себя процедуры проектирования алгоритма функционирования БИС и исходных тестовых последовательностей, моделирования алгоритма и выходных последовательностей. На этом этапе в базу данных проекта вводятся требования к конструкции БИС, сведения о технологических процессах, требования на выходные параметры и т.д.

3.2. Проектные процедуры процесса проектирования

На каждом этапе проектирования разработчики схем выполняют одни и те же проектные процедуры: структурный синтез, составление математической модели и задание параметров, анализ математической модели, оптимизация математической модели и её статистический анализ.

Рассмотрим последовательность выполнения проектных процедур на примере этапа схемотехнического проектирования.

При выполнении схемотехнического проектирования необходимо выполнить следующие основные проектные процедуры:

· Синтез структуры (составление принципиальной электрической схемы);

· Составление математической модели;

· Синтез параметров;

· Анализ работы принципиальной электрической схемы (одновариантный);

· Оптимизацию (структурную и параметрическую (т.е. многовариантный анализ));

· Статистический анализ и оптимизация (многовариантный анализ).

В этом случае последовательность выполнения проектных процедур схемотехнического проектирования от получения технического задания (ТЗ) можно представить в виде упрощенной блок-схемы (см. рис.3.1).

Проектирование схемы обычно начинается с составления технического задания и формулирования технических требований на системном уровне. После проверки реализуемости технических требований выполняется функциональный синтез системы и определяются функциональные взаимосвязи между ее регистрами или аналоговыми блоками. Проектирование на этом этапе выполняется так, чтобы обеспечить тестируемость изделия после его изготовления. Далее проводится разработка электрических схем, их оптимизация, верификация и синтез топологии СБИС (размещение на кристалле и трассировка).

Основные виды анализа: анализ по постоянному току, анализ по переменномутоку (частотный анализ), временной анализ. Схемотехническое моделирование выполняется в два этапа: до проектирования топологии и после него. Повторное моделирование выполняется после применения программ восстановления (экстракции) принципиальных электрических схем из топологии кристалла. Программы экстракции поставляются в комплекте с программами схемотехнического моделирования.

Принципиальная электрическая схема, соответствующая разработанной топологии, содержит паразитные элементы, возникающие в реальных физических структурах. В зависимости от сложности проекта циклы схемотехнического моделирования и проектирования топологии могут выполняться на разных уровнях иерархии проекта, чередуясь с этапами верификации топологии и коррекции электрической схемы.

Рис.3.1. Блок-схема процесса схемотехнического проектирования

При выполнении статистического анализа применяют метод Монте-Карло либо метод наихудшего случая. Статистический анализ по методу Монте-Карло требует использования характеристик статистических законов распределения внутренних параметров и допустимых диапазонов изменения внешних параметров. Результат – характеристики статистических законов распределения выходных параметров. Идея метода наихудшего случая в том, что выходные параметры схемы должны находиться в установленных пределах при наихудших сочетаниях погрешностей внутренних и внешних параметров.

Выводы:

· Цикл проектирования БИС включает этапы: логический, схемотехнический, топологический, компонентный. На каждом этапе выполняются следующие проектные процедуры: структурный синтез; составление математической модели; анализ полученной модели; параметрическая и структурная оптимизация; статистический анализ и оптимизация. Проектирование заканчивается выдачей информации о топологии для изготовления фотошаблонов на технологических установках и информации о тестах для измерения параметров БИС на контрольно-измерительном оборудовании;

· На каждом этапе существует своё понятие элемента и системы. Так, система на схемотехническом уровне становится элементом для логического этапа проектирования;

· Наиболее распространёнными являются БИС, разработанные по биполярной и МОП-технологиям;

· Сокращение цикла проектирования БИС достигается благодаря использованию стандартного набора компонентов, логических элементов или структур широкого назначения, размещению внутрисхемных соединений в межблочных областях. При проектировании полузаказных БИС на базе БМК отрабатывается логическая схема и система контролирующих тестов. Затем на этапе топологического проектирования решаются задачи выбора компонентов (места вскрытия окон в окисле) и трассировки;

· При проектировании систем на кристалле требуется укрупнённый элементный базис. Элементы базиса получили название IP-блоков, поскольку они являются интеллектуальной собственностью фирм-разработчиков;

· В настоящее время широко применяются методологии высокоуровневого проектирования, в том числе с использованием IP-блоков, которые основаны на базе языков проектирования высокого уровня, таких как VHDL, SystemC.

Это обусловлено аналогиями между процедурами проектирования и программирования:

Проектирование – Программирование

Структурная схема– Схема алгоритма

Функциональная схема – Блок-схема

Рабочий проект – Программа

Настройка – Отладка

Компонент объекта – Оператор языка

Технология проектирования – Технология программирования

Лекция 4

Разработка САПР

–На что мне безумцы? –сказала Алиса.

– Ничего не поделаешь, - возразил Кот. –Все мы здесь не в своём уме –и ты ия.

– Откуда вы знаете, что я не в своём уме? –спросила Алиса.

– Конечно, не в своём, – ответил Кот. – Иначе как бы ты здесь оказалась?

Льюис Кэрролл, «Алиса в Стране чудес»

 

Система автоматизированного проектирования (САПР) является основным средством разработки ИС. Существует строгое определение САПР. По ГОСТ 22487-77 САПР – организационно-техническая система, состоящая из комплекса средств автоматизации проектирования (КСАП), взаимосвязанного с необходимыми подразделениями проектной организации П1, П2, …, ПN или коллективом специалистов (пользователей САПР), и выполняющая автоматизированное проектирование.

На рис.4.1 схематично приведена структура САПР, отражающая данное строгое определение.

Следует отметить, что под термином САПР порой понимаются совершенно разные вещи. Вообще этот термин является смысловым эквивалентом английской аббревиатуры CAD (Computer Aided Design) и дословно обозначает проектирование с помощью ЭВМ, то есть это очень широкое понятие, под которое попадает практически каждое, даже фрагментарное, применение ЭВМ для решения задач проектирования.

Рис.4.1. Структура САПР

Существуют менее строгие определения САПР, например: САПР– человеко-машинная система, направленная на получение эффективных проектных решений при учёте большой совокупности технико-экономических, экологических, социальных факторов. Или: САПР – коллектив единомышленников, обладающих знаниями и опытом разработки технических изделий, программных и информационных продуктов с использованием средств вычислительной техники и периферийного оборудования. Или: САПР – комплекс, предназначенный для разработки технических устройств (например – интегральных схем (ИС)) или обработки информации при помощи средств вычислительной техники в соответствии с требованиями технического задания (ТЗ).

В рамках жизненного цикла промышленных изделий САПР решает задачи автоматизации работ на стадиях проектирования и подготовки производства.

Основная цель создания САПР – повышение эффективности труда разработчиков, включая:

· Сокращения трудоёмкости проектирования и планирования;

· Сокращения сроков проектирования;

· Сокращения себестоимости проектирования и изготовления, уменьшение затрат на эксплуатацию;

· Повышения качества и технико-экономического уровня результатов проектирования;

· Сокращения затрат на натурное моделирование и испытания.

Достижение этих целей обеспечивается путем:

· Автоматизации оформления документации;

· Информационной поддержки и автоматизации процесса принятия решений;

· Использования технологий параллельного проектирования;

· Унификации проектных решений и процессов проектирования;

· Повторного использования проектных решений, данных и наработок;

· Замены натурных испытаний и макетирования математическим моделированием;

· Повышения качества управления проектированием;

· Применения методов многовариантного анализа и оптимизации.

4.1. Методология разработки

САПР должна включать в себя, по меньшей мере, три части:

· Коллектив разработчиков изделия (ИС);

· Набор технических устройств (средств) проектирования изделий (техническое обеспечение–hardware);

· Прочие средства, обеспечивающие работу hardware и коллектива разработчиков (программное обеспечение–software).

Методология создания САПР в самом общем виде (точки зрения организации работ) может быть сформулирована следующим образом: подготовка кадров; организация процесса разработки САПР; реализация распределенной системы управления; использование аппарата искусственного интеллекта.

4.2. О подготовке кадров

Необходим новый подход к инженерному образованию. Прежде всего, создание гибких учебных планов, в которых должно уделяться время развитию творческого склада инженера как элемента культуры личности.

4.3. Классификация САПР

САПР можно классифицировать по ряду признаков.

4.3.1. По назначению систем

Например:

· Изделия микроэлектроники – проектирование интегральных схем (ИС) и систем на кристалле с использованием IP-блоков по полному циклу, принципиальных и монтажных схем, печатных плат;

· Электротехнические – разработка принципиальных схем и схем подключения электротехнического оборудования, его пространственная компоновка, ведение баз данных готовых изделий.

4.3.2. По способу организации информационных потоков

· Индивидуальные автоматизированные рабочие места – системы подобного класса создаются на базе отдельных рабочих станций или персональных компьютеров (ПК) с соответствующим программным обеспечением (ПО);

· Распределенные одноуровневые системы – системы, объединенные в локальную сеть с несколькими рабочими станциями и/или ПК; функциональные возможности ПО в этом случае больше всего зависят от технических параметров используемых средств вычислительной техники и могут выполнять равноправные проектно-конструкторские функции;

· Распределенные многоуровневые системы –системы, объединенные в локальную сеть с одной или несколькими рабочими станциями и ПК; функциональные возможности ПО в этом случае отличаются: на высокопроизводительных рабочих станциях устанавливаются мощные и достаточно дорогие САПР, а на персональные компьютеры – их существенно более дешевые, но несколько сокращенные функциональные аналоги; в этом случае на рабочих станциях осуществляется укрупнение и сборка деталей и узлов, сконструированных на ПК;

· Интегрированные многоуровневые системы – современные высокоуровневые САПР имеют все средства для управления работой как отдельных исполнителей, работающих в рамках одного проекта, так и работой крупных проектных организаций.

4.3.3. По специализации программных средств

· Узкоспециализированные утилиты – предназначены для выполнения одной локальной функции системы, например, быстрого просмотра файлов моделей и чертежей или для преобразования файлов из одного формата в другой формат;

· Специализированные системы – позволяют автоматизировать комплекс задач, связанных с одной достаточно узкой областью проектирования или подготовки производства;

· Универсальные системы – позволяют создавать изделия самого широкого профиля; большинство машиностроительных САПР можно отнести именно к универсальным системам;

· Комплексные системы – предназначены для решения проблем проектирования и подготовки производства специальных изделий высокой сложности.

4.3.4. По способу организации внутренней структуры САПР

Большинство современных систем проектирования ИС являются масштабируемыми модульными системами, формируемыми вокруг базового ядра. Ядро таких систем включает все требуемые базовые средства построения двухмерной и трехмерной графики, средства диалога с пользователем, базу данных, графической информации,что позволяет компоновать специализированные системы на базе свободно подключаемых модулей, учитывающих специфику работ пользователя. (например, модули схемотехнического анализа).Большинство современных систем построено именно по этому принципу – горизонтально расширяемые системы. Интегрирующим ядром таких систем является диспетчер пользовательской среды, организующий доступ к внешним приложениям и обмен данными с внешними системами; объектно-ориентированная структура данных и стандартизованный их обмен между приложениями позволяет максимально децентрализовать процесс проектирования и упростить подключение специализированных модулей.

4.3.5. По возможности функционального расширения системы пользователем

· Закрытые системы – не имеют средств индивидуальной настройки и возможности расширения системы пользователем;

· Системы с настраиваемой системой интерфейса пользователем – обладают возможностью подстройки системы меню, создания диалоговых окон для создания среды, удобной пользователю;

· Системы с пакетной обработкой команд – имеют возможность выполнения последовательности команд САПР, сформированных в текстовом пакетном файле, созданном внешней программой;

· Системы со встроенным макроязыком и библиотекой функций – обладают средствами для записи макрокоманд или создания новых функций пользователя, позволяющих автоматизировать специфические конструкторские операции;

· Системы с возможностью подключения внешних модулей –позволяют подключать модули пользователя, написанные на языках высокого уровня типа C++, что значительно увеличивает потенциальные возможности расширения системы; большинство современных САПР высокого уровня обладают подобной возможностью.

Инструменты разработчика САПР дают возможность, используя набор стандартных библиотек функций, создавать свои собственные приложения для САПР или даже собственные САПР.

4.3.6. По используемым средствам вычислительной техники

· Персональные компьютеры;

· Рабочие станции на базе разнообразных архитектур (RISC, SPARC, MIPS, PowerPC, PentiumPro и т. д.) различных производителей.

4.3.7. По способу объединения технических средств

· Автономные рабочие станции;

· Многотерминальные ЭВМ;

· Локальная сеть с выделенным сервером.

4.3.8. По способу организации диалога системы с пользователем

· С помощью командной строки;

· С помощью системы иерархических меню и диалоговых окон с контекстно-зависимой помощью: в виде текстовых строк или в виде условных пиктограмм;

· С помощью объектно-ориентированного интерфейса;

· Мультимедийной системы помощи и т.д.

Лекция 5

Архитектура САПР

Я видела такую чепуху, по сравнению с

которой эта чепуха – толковый словарь.

Льюис Кэрролл, «Алиса в Стране чудес»

 

Любая сложная техническая система состоит из трёх компонентов: коллектива разработчиков, технических средств (компьютеры и периферийное оборудование), программных средств.

· Технические средства – обеспечивают ввод, хранение, обработку информации, изготовление проектной документации;

· Программные средства проектирования.

Прикладное программное обеспечение (ППО) – набор пакетов прикладных программ для каждого этапа проектирования ИС и инструкций по их применению.

Общесистемное программное обеспечение – совокупность программ, обеспечивающих работу ППО. Основой является операционная система (ОС).

Для обеспечения взаимодействия программ на маршруте проектирования требуется информационное обеспечение. Оно представляет собой библиотеки математических моделей, их параметров и т.д., и обеспечивает формирование, хранение и выдачу необходимой для проектирования изделия информации, в том числе создание и использование базы знаний.

Информационное согласование программ обеспечивается формированием общей для ППО базы данных (БД). Совокупность программ, обслуживающих БД называются системой управления базой данных (СУБД) БД и СУБД образуют банк данных.

Модели БД можно разделить на три группы:

· Иерархическая;

· Сетевая;

· Реляционная.

Наиболее абстрактное представление сложных систем – это отношение или реляция составляющих их объектов. В САПР наиболее широко используется реляционная модель данных. Отношение обычно представляется в виде таблицы. Модель использует алгебру отношений или реляционное исчисление. Алгебра отношений содержит ряд операций над отношениями, основными из которых являются:

· Проекция;

· Объединение;

· Вычёркивание.

Лингвистическое обеспечение содержит совокупность используемых в САПР языков: алгоритмические языки высокого уровня, входные языки, выходные языки, языки СУБД.

Алгоритмические языки служат для написания текстов прикладных и системных программ. Входные языки обеспечивают описание объекта и заданий на проектирование Выходные языки обеспечивают вывод результатов проектирования в соответствии с действующими стандартами и другими нормативными материалами на все виды документации и совместимость с входными языками технологического оборудования. Языки СУБД служат для редактирования данных и эксплуатации баз данных.

Лекция 6

Маршруты проектирования БИС

Всё страньше и страньше!

Всё чудесатее и чудесатее!

Всё любопытственне и любопытственнее!

Всё страннее и страннее!

Всё чудесится и чудесится!

Льюис Кэрролл, «Алиса в Стране чудес»

 

Под маршрутом проектирования понимают последовательность проектных процедур, выполняемых в процессе разработки конкретного изделия в соответствии с выбранной методологией. Применительно к проектированию ИС с помощью САПР под маршрутом проектирования можно понимать согласованный набор программ из ППП, обеспечивающий полный цикл проектирования от получения технического задания на схему до изготовления фотошаблонов. Существует множество разнообразных маршрутов проектирования ИС. Конкретный маршрут выбирает разработчик. Это связано с тем, что процесс проектирования в значительной степени является творческим и динамичным.

6.1. Автоматизация проектирования полузаказных БИС

Среди подходов к проектированию ИС широкое распространение получила концепция базового матричного кристалла (БМК). БМК – это кристалл, состоящий из рядов стандартных ячеек с достаточно широким пространством между рядами. На каждом наборе реализуется серия библиотечных элементов, которая проходит полную аттестацию по всем параметрам (электрическим, конструкторским и т.д.). Затем проектируется логическая либо аналоговая схема в базисе библиотечных элементов. В соответствии с разработанной схемой производится коммутация элементов. Таким образом, для изготовления схемы достаточно спроектировать и изготовить только фотошаблоны для переменных слоёв коммутации.

Маршрут проектирования матричных БИС состоит из следующих основных этапов:

· Разработка принципиальной логической либо электрической схемы;

· Разработка топологии межсоединений;

· Контроль проекта;

· Разработка технической документации.

Этап разработки переменных слоёв топологии (трассировки) выполняется в интерактивном или автоматическом режиме. Интерактивное проектирование рекомендуется применять, если в схеме используется 80-90% ячеек кристалла, автоматический синтез – при меньшей плотности. Достоинство автоматического режима трассировки в сокращении сроков разработки, поскольку отпадает необходимость в проведении контроля. Это достигается применением программы-экстрактора, которая по топологии восстанавливает электрические схемы межсоединений, после чего проводится повторное моделирование.

Основное достоинство полузаказного проектирования в высокой скорости проектирования, обусловленной тем, что требуется разработать лишь шаблоны для проведения внутрисхемных соединений.

6.2. Автоматизация проектирования заказных БИС

Методология проектирования заказных БИС сильно отличается от методологии проектирования полузаказных. Заказные БИС чаще всего реализуют проекты частного применения, содержащие нерегулярные структуры. Полузаказные БИС чаще всего проектируются сверху вниз, а заказные снизу-вверх. При такой методологии достигаются «оптимальные» параметры на каждом иерархическом уровне представления проекта. Заказные БИС проектируются методами стандартных ячеек и блоков, функционально-интегрированных ячеек и блоков, а также кремниевым компилированием. Суть метода стандартных ячеек и блоков состоит в накоплении, систематизации и применении при проектировании БИС заранее разработанных компонентов, функциональных узлов и блоков, информация о которых хранится в памяти компьютеров. Как правило, при проектировании заказных БИС считаются известными технология и библиотека компонентов, изготовленных по данной технологии, а также электрические и топологические характеристики компонентов. В интерактивном режиме разрабатывается и аттестуется библиотека элементов. На основе этой библиотеки разрабатывается БИС. Выполнение этапов функц


Поделиться с друзьями:

Историки об Елизавете Петровне: Елизавета попала между двумя встречными культурными течениями, воспитывалась среди новых европейских веяний и преданий...

Типы оградительных сооружений в морском порту: По расположению оградительных сооружений в плане различают волноломы, обе оконечности...

Состав сооружений: решетки и песколовки: Решетки – это первое устройство в схеме очистных сооружений. Они представляют...

Своеобразие русской архитектуры: Основной материал – дерево – быстрота постройки, но недолговечность и необходимость деления...



© cyberpedia.su 2017-2024 - Не является автором материалов. Исключительное право сохранено за автором текста.
Если вы не хотите, чтобы данный материал был у нас на сайте, перейдите по ссылке: Нарушение авторских прав. Мы поможем в написании вашей работы!

0.015 с.